python2verilog.exceptions package

Module contents

Exceptions

exception StaticTypingError(*args: object)[source]

Bases: Exception

Variable changed type dynamically. Currently requires strongly typed variables.

exception TypeInferenceError(name: str)[source]

Bases: Exception

Type inferrence failed, either use the function in code or provide type hints

exception UnknownValueError[source]

Bases: Exception

An unexpected ‘x’ or ‘z’ was encountered in simulation

exception UnsupportedSyntaxError(msg: object)[source]

Bases: Exception

Python syntax was not within the supported subset

classmethod from_pyast(node: AST, name: str)[source]

Based on AST error